Search results for: Steel gate - Bridge of Knowledge

Search

Search results for: Steel gate

Search results for: Steel gate

  • Numerical modal analysis of a vertical rising steel water gate

    Publication

    Vertical rising steel water gates are very common not only in Poland and Germany but also in other countries of the world. Their popularity is mainly attributed to their simplicity of construction, which makes their production process cheaper and faster when compared to other solutions. The aim of this paper is to conduct a numerical modal analysis to examine the eigenvalues and eigenmodes of a vertical rising steel gate. Two cases...

  • Comparative analysis of different numerical models of a steel radial gate

    Hydrotechnical structures are important components in water management system and general flooding safety. Their reliability should be ensured since potential damage might lead to catastrophic consequences. Weir gates are considered to be highly vulnerable elements of each hydro power plant, with regard to its dynamic resistance. The aim of the paper is to compare different numerical models and their influence on the results of...

    Full text available to download

  • Modal Analysis of a Steel Radial Gate Exposed to Different Water Levels

    With the increase in water retention needs and planned river regulation, it might be important to investigate the dynamic resistance of vulnerable elements of hydroelectric power plants, including steel water locks. The most frequent dynamic loads affecting hydroengineering structures in Poland include vibrations caused by heavy road and railway traffic, piling works and mining tremors. More destructive dynamic loads, including...

    Full text available to download

  • Modal analysis of a fish-belly flap type of steel water gate

    ABSTRACT: The results of a modal analysis of the fish-belly flap type of steel water gate are presented in the paper. The analysis was conducted for a detailed numerical model of the structure using four-node quadrilateral shell elements. The study was focused on the examination of the influence of positioning of the flap gate and hydrostatic pressure of water on the eigenvalues and eigenmodes of the structure. The results of the study...

  • Design of Inner Gate for CRIST Shipyard Dry Dock

    The paper deals with a removable steel inner gate which was designed to separate two parts of a dry dock of about 70 m in width and 380 m in length. The gate allows for independent assembly of ship structures in two separated parts of the dock. The fore part of the dock can be flooded while the after part is dry. Tthe gate was designed by IDEK Company Ltd in 2011 and it was soon constructed and used by CRIST Shipyard in Gdynia.

    Full text available to download

  • Analysis of the dynamic influences on the Lowland Gate in Gdańsk

    Publication

    The Lowland Gate has been built in 1626. It is one of the best-preserved historical buildings in Gdansk. The structure is still of the city's infrastructural importance as through it goes a road connecting two districts. The Gate is now so neglected that it is hard to appreciate its value. The main purpose of the investigation carried out was to determine the harmfulness of the traffic on the structure as well as the reasons of...

  • A New, Reconfigurable Circuit Offering Functionality of AND and OR Logic Gates for Use in Algorithms Implemented in Hardware

    Publication
    • T. Talaśka
    • R. Długosz
    • T. Nikolić
    • G. Nikolić
    • T. Stefański
    • M. Długosz
    • M. Talaśka

    - Year 2023

    The paper presents a programmable (using a 1-bit signal) digital gate that can operate in one of two OR or AND modes. A circuit of this type can also be implemented using conventional logic gates. However, in the case of the proposed circuit, compared to conventional solutions, the advantage is a much smaller number of transistors necessary for its implementation. Circuit is also much faster than its conventional counterpart. The...

    Full text to download in external service

  • Bulk linearized CMOS differential pair transconductor for continuous-time OTA-C filter design

    In this paper, the MOS differential pair driven simultaneously from gates and bulk terminals is described. An approximated analytical solution of the voltage to current transfer function has been found for the proposed circuit. Four possible combinations of gate and bulk connections of the input signal are presented. Basing on the configuration giving the best linearity, the operational transconductance amplifier (OTA) has been designed...

    Full text available to download

  • Reduction of exceeding the guaranteed service time for external trucks at the DCT Gdańsk container terminal using a six sigma framework

    Purpose The purpose of this research was to investigate ways to reduce the average amount of exceeded guaranteed service time for external trucks at Deepwater Container Terminal Gdańsk Sp z o.o. (DCT Gdańsk) via dosing the gate activities, in particular IN-Gate entry process of trucks carrying import/export/transit containers. Design/methodology/approach A Six Sigma methodology with the DMAIC methods along with the SIPOC chart,...

    Full text available to download

  • TIME- AND FREQUENCY-DOMAIN QUASI-2D SMALL-SIGNAL MOSFET MODELS

    Publication

    - Year 2019

    A novel approach to small-signal MOSFET modeling is presented in this book. As a result, time- and frequency-domain physics-based quasi-2D NQS four-terminal small-signal MOSFET models are proposed. The time-domain model provides the background to a novel DIBL-included quasi‑2D NQS four-terminal frequency-domain small-signal MOSFET model. Parameters and electrical quantities of the frequency-domain model are described by explicit...

    Full text available to download

  • Measurements of Subnanometer Molecular Layers

    Publication

    - Year 2013

    Selected methods of formation and detection of nanometer and subnanometer molecular layers were shown. Additionally, a new method of detection and measurement with subnanometer resolution of layers adsorbed or bonded to the gate dielectric of the ion selective field effect transistor (ISFET) was presented.

    Full text to download in external service

  • Automation of the Road Gate Operations Process at the Container Terminal—A Case Study of DCT Gdańsk SA

    Publication

    The future increased terminal capacity will result in more container movement through the road complex and rail siding, which are one of the most critical areas (potential bottlenecks) in the container terminal. Truck turnaround time is one of the major factors that customers take into account while deciding how many container volumes they will handle through the container terminal. To enable to optimize increased traffic with...

    Full text available to download

  • THE CIRCUS SITE

    Publication

    - Year 2016

    The location of project is a site of over 1,2 ha, situated on the southern fringes of Gdynia formerly used as an area to host a Circus. Here artists were performing for the public, newcomers were meeting the locals, the temporality of the event was enhancing the experience of exchange. Circus used to be the place where people live, work and create art. Currently the site stays empty but surely not for long. Its potential, attractive...

    Full text to download in external service

  • Gate Driver with Overcurrent Protection Circuit for GaN Transistors

    The improvement of the gate driver for GaN transistor is presented in this paper. The proposed topology contains the overcurrent protectionwith the two-stage turning off and independent control of turn on and off time of the GaN transistor. The operation of driver and its application in thehalf-bridge converter are described using both simulation and prototype measurements. The overcurrent protection was tested in Double Pulse...

    Full text available to download

  • Asynchronous Charge Carrier Injection in Perovskite Light-Emitting Transistors

    Publication

    - Advanced Electronic Materials - Year 2023

    Unbalanced mobility and injection of charge carriers in metal-halide perovskite light-emitting devices pose severe limitations to the efficiency and response time of the electroluminescence. Modulation of gate bias in methylammonium lead iodide light-emitting transistors has proven effective in increasing the brightness of light emission up to MHz frequencies. In this work, a new approach is developed to improve charge carrier...

    Full text available to download

  • Measurement of sub-nanometer molecular layers with ISFET without a reference electrode dependency

    Publication

    A new method of detection and measurement with sub-nanometer resolution of layers adsorbed or bonded to the ISFET's gate dielectric was presented. The sensitivity of this method is high enough to detect even partial mono-layer covering. The transconductance measurement of the ISFET provides independence of the output signal from pH changes and the driving electrode electrochemical potential instabilities. The stable reference electrode...

    Full text to download in external service

  • Critical Remarks on Landauer’s principle of erasure– dissipation: Including notes on Maxwell demons and Szilard engines

    Publication
    • L. B. Kish
    • C. G. Granqvist
    • S. P. Khatri
    • J. Smulko

    - Year 2015

    We briefly address Landauer’s Principle and some related issues in thermal demons. We show that an error-free Turing computer works in the zero-entropy limit, which proves Landauer’s derivation incorrect. To have a physical logic gate, memory or information-engine, a few essential components necessary for the operation of these devices are often neglected, such as various aspects of control, damping and the fluctuation–dissipation...

    Full text to download in external service

  • Implementation of Coprocessor for Integer Multiple Precision Arithmetic on Zynq Ultrascale+ MPSoC

    Publication

    - Year 2021

    Recently, we have opened the source code of coprocessor for multiple-precision arithmetic (MPA). In this contribution, the implementation and benchmarking results for this MPA coprocessor are presented on modern Zynq Ultrascale+ multiprocessor system on chip, which combines field-programmable gate array with quad-core ARM Cortex-A53 64-bit central processing unit (CPU). In our benchmark, a single coprocessor can be up to 4.5 times...

    Full text to download in external service

  • Direct estimation of linear and nonlinear functionals of quantum state

    Publication
    • A. Ekert
    • C. M. Alves
    • D. K. Oi
    • M. Horodecki
    • P. Horodecki
    • L. C. Kwek

    - PHYSICAL REVIEW LETTERS - Year 2002

    We present a simple quantum network, based on the controlled-SWAP gate, that can extract certain properties of quantum states without recourse to quantum tomography. It can be used as a basic building block for direct quantum estimations of both linear and nonlinear functionals of any density operator. The network has many potential applications ranging from purity tests and eigenvalue estimations to direct characterization of...

  • Application of virtual gate for counting people participating in large public events

    The concept and practical application of the developed algorithm forpeople counting in crowded scene is presented. The aim of the work is to estimatethe number of people passing towards entrances of a large sport hall. Thedetails of implemented the Virtual Gate algorithm are presented. The video signalfrom the camera installed in the building constituted the input for the algorithm.The most challenging problem was the unpredicted...

    Full text to download in external service

  • A method for counting people attending large public events

    The algorithm for people counting in crowded scenes, based on the idea of virtual gate which uses optical flow method is presented. The concept and practical application of the developed algorithm under real conditions is depicted. The aim of the work is to estimate the number of people passing through entrances of a large sport hall. The most challenging problem was the unpredicted behavior of people while entering the building....

    Full text available to download

  • FPGA realization of an improved alpha max plus beta min algorithm

    The generalized improved version of the alpha max plus beta min square-rooting algorithm and its realization in the Field Programmable Gate Array (FPGA) are presented. The algorithm computes the square root to calculate the approximate magnitude of a complex sample. It is especially useful for pipelined calculations in the DSP. In case of four approximation regions it is possible to reduce the peak error form 3.95% to 0.33%. This...

    Full text to download in external service

  • A Note on Fractional Curl Operator

    In this letter, we demonstrate that the fractional curl operator, widely used in electromagnetics since 1998, is essentially a rotation operation of components of the complex Riemann–Silberstein vector representing the electromagnetic field. It occurs that after the wave decomposition into circular polarisations, the standard duality rotation with the angle depending on the fractional order is applied to the left-handed basis vector...

    Full text to download in external service

  • MIASTO PORTOWE – STRUKTURA, WYZWANIA FUNKCJONALNE I MODELE ROZWOJU

    Port cities are having different spatial structure than those located inlands. As a result of their seaside location, they face specific administrative and functional problems on a daily basis. In the economic and settlement structure of the country, they usually play the role of a "gate" through which streams of cargo are distributed further over the whole hinterland. It is the transport and logistics function of port cities,...

    Full text available to download

  • Excitation-independent constant conductance isfet driver

    Publication

    - Metrology and Measurement Systems - Year 2009

    A new constant conductance driver for ISFETs sensors has been developed. The proposed circuit maintains the sensor operating point at constant drain-source conductance. The combination of a simple, self-balancing resistance bridge and the subtraction half (or similar fraction) of source-drain voltage from the gate-source voltage provides the independence of output signal from current and voltage drivers instability. The use of...

    Full text available to download

  • Routing Method for Interplanetary Satellite Communication in IoT Networks Based on IPv6

    Publication

    - Year 2023

    The matter of interplanetary network (IPN) connection is a complex and sophisticated topic. Space missions are aimed inter alia at studying the outer planets of our solar system. Data transmission itself, as well as receiving data from satellites located on the borders of the solar system, was only possible thanks to the use of powerful deep space network (DSN) receivers, located in various places on the surface of the Earth. In...

    Full text to download in external service

  • Accurate Computation of IGBT Junction Temperature in PLECS

    Publication

    In the article, a new method to improve the accuracy of the insulated-gate bipolar transistor (IGBT) junction temperature computations in the piecewise linear electrical circuit simulation (PLECS) software is proposed and described in detail. This method allows computing the IGBT junction temperature using a nonlinear compact thermal model of this device in PLECS. In the method, a nonlinear compact thermal model of the IGBT is...

    Full text to download in external service

  • Power equalization of AES FPGA implementation

    This paper briefly introduces side channel attacks on cryptographic hardware with special emphasis on differential power analysis(DPA). Based on existing countermeasures against DPA, design method combining power equalization for synchronous and combinatorialcircuits has been proposed. AES algorithm has been implemented in Xilinx Spartan II-E field programmable gate array (FPGA) deviceusing the standard and power-equalized methods....

    Full text available to download

  • FPGA-Based System for Electromagnetic Interference Evaluation in Random Modulated DC/DC Converters

    Publication

    - ENERGIES - Year 2020

    Field-Programmable Gate Array (FPGA) provides the possibility to design new “electromagnetic compatibility (EMC) friendly” control techniques for power electronic converters. Such control techniques use pseudo-random modulators (RanM) to control the converter switches. However, some issues connected with the FPGA-based design of RanM, such as matching the range of fixed-point numbers, might be challenging. The modern programming...

    Full text available to download

  • Pulsed UV-irradiated Graphene Sensors for Ethanol Detection at Room Temperature

    Publication

    - Year 2021

    A graphene-based gas sensor fabricated in a FET (GFET) configuration and its sensitivity towards ethanol and methane is reported. Detection of ethanol at the level of 100 ppm was observed under pulsed UV irradiation and after cleaning by UV light in the N2 ambient. Reduction of the frequency of UV irradiation pulses resulted in increased changes in sensor resistance in the presence of ethanol. Improved sensing behavior was ascribed...

    Full text available to download

  • FPGA Acceleration of Matrix-Assembly Phase of RWG-Based MoM

    Publication

    In this letter, the field-programmable-gate-array accelerated implementation of matrix-assembly phase of the method of moments (MoM) is presented. The solution is based on a discretization of the frequency-domain mixed potential integral equation using the Rao-Wilton-Glisson basis functions and their extension to wire-to-surface junctions. To take advantage of the given hardware resources (i.e., Xilinx Alveo U200 accelerator card),...

    Full text to download in external service

  • Quantum-correlation breaking channels, broadcasting scenarios, and finite Markov chains

    Publication

    - PHYSICAL REVIEW A - Year 2012

    One of the classical results concerning quantum channels is the characterization of entanglementbreakingchannels [M. Horodecki et al., Rev. Math. Phys 15, 629 (2003)]. We address the questionwhether there exists a similar characterization on the level of quantum correlations which may gobeyond entanglement. The answer is fully affirmative in the case of breaking quantum correlationsdown to the, so called, QC (Quantum-Classical)...

    Full text available to download

  • Sprzętowa implementacja koprocesora dla zastosowań kryptograficznych

    W pracy przedstawiono procedurę implementacji elektronicznej skrzynki podawczej z wykorzystaniem zasobów sprzętowych na płytce FPGA (Filed Programmable Gate Array) typu Virtex 4. Przedstawiono ogólna zasadę działania skrzynki podawczej oraz opisano parametry i właściwości poszczególnych modułów funkcjonalnych systemu tj.: modułu TFTP Trivia File Transfer Protocol), serwera WWW, funkcji skrótu oraz asymetrycznego algorytm kryptograficznego....

  • Low-frequency noise in ZrS3 van der Waals semiconductor nanoribbons

    Publication
    • A. Rehman
    • G. Cywiński
    • W. Knap
    • J. Smulko
    • A. Balandin
    • S. Rumyantsev

    - APPLIED PHYSICS LETTERS - Year 2023

    We report the results of the investigation of low-frequency electronic noise in ZrS3 van der Waals semiconductor nanoribbons. The test structures were of the back-gated field-effect-transistor type with a normally off n-channel and an on-to-off ratio of up to four orders of magnitude. The current–voltage transfer characteristics revealed significant hysteresis owing to the presence of deep levels. The noise in ZrS3 nanoribbons...

    Full text available to download

  • SiC-based T-type modules for multi-pulse inverter with coupled inductors

    Publication

    - Year 2017

    The paper presents SiC-based three-level T-type modules designed for a high-performance 30kVA DC/AC inverter operating at high frequency 85 kHz with low THD of the output voltage. This inverter system consists of two integrated parts. The first part is active and contains three parallelconnected three-phase T-type modules built with fast-switching SiC power transistors. The second, passive part of the system is a set of inductors...

    Full text to download in external service

  • Dynamical description of quantum computing: generic nonlocality of quantumnoise

    Publication

    We develop a dynamical non-Markovian description of quantum computing in the weak-coupling limit, in the lowest-order approximation. We show that the long-range memory of the quantum reservoir (such as the 1/t4 one exhibited by electromagnetic vacuum) produces a strong interrelation between the structure of noise and the quantum algorithm, implying nonlocal attacks of noise. This shows that the implicit assumption of quantum error...

    Full text available to download

  • A New Approach to Capacitive Sensor Measurements Based on a Microcontroller and a Three-Gate Stable RC Oscillator

    A complete smart capacitive sensor solution basedA complete smart capacitive sensor solution based on a microcontroller was developed. This approach includes the development of both the hardware and software. The hardware part comprises an 8-bit microcontroller equipped with two timers/counters and a three-gate stable RC relaxation oscillator. The software part handles system configuration, measurement control, communication control,...

    Full text to download in external service

  • Programmable Input Mode Instrumentation Amplifier Using Multiple Output Current Conveyors

    In this paper a programmable input mode instrumentation amplifier (IA) utilising second generation, multiple output current conveyors and transmission gates is presented. Its main advantage is the ability to choose a voltage or current mode of inputs by setting the voltage of two configuration nodes. The presented IA is prepared as an integrated circuit block to be used alone or as a sub-block in a microcontroller or in a field...

    Full text available to download

  • FPGA Based Real Time Simulations of the Face Milling Process

    Publication

    The article presents a successful implementation of the milling process simulation at the Field-Programmable Gate Array (FPGA). By using FPGA, very rigorous Real-Time (RT) simulation requirements can be met. The response time of the FPGA simulations is significantly reduced, and the time synchronization is better than in a typical RT system implemented in software. The FPGA-based approach is characterized by enormous flexibility...

    Full text available to download

  • Organic Vapor Sensing Mechanisms by Large-Area Graphene Back-Gated Field-Effect Transistors under UV Irradiation

    Publication
    • K. Drozdowska
    • A. Rehman
    • P. Sai
    • B. Stonio
    • A. Krajewska
    • M. Dub
    • J. Kacperski
    • G. Cywiński
    • M. Haras
    • S. Rumyantsev... and 3 others

    - ACS Sensors - Year 2022

    The gas sensing properties of graphene back-gated field-effect transistor (GFET) sensors toward acetonitrile, tetrahydrofuran, and chloroform vapors were investigated with the focus on unfolding possible gas detection mechanisms. The FET configuration of the sensor device enabled gate voltage tuning for enhanced measurements of changes in DC electrical characteristics. Electrical measurements were combined with a fluctuation-enhanced...

    Full text available to download

  • Study of ZrS3-based field-effect transistors toward the understanding of the mechanisms of light-enhanced gas sensing by transition metal trichalcogenides

    Publication

    - Materials Today Communications - Year 2023

    Extending knowledge of the properties of low-dimensional van der Waals materials, including their reactivity to the ambiance, is important for developing innovative electronic and optoelectronic devices. Transition metal trichalcogenides with tunable optical band gaps and anisotropic conductivity are an emerging class among low- dimensional structures with the possibility of gate tunability and photoreactivity. These properties...

    Full text available to download

  • FPGA-Based Implementation of Real Time Optical Flow Algorithm and Its Applications for Digital Image Stabilization

    Publication

    - Year 2010

    An efficient simplification procedure of the optical flow (OF) algorithm as well as its hardware implementation using the field programmable gate array (FPGA) technology is presented. The modified algorithm is based on block matching of subsets of successive frames, and exploits one-dimensional representation of subsets as well as the adaptive adjustments of their sizes. Also, an l1-norm-based correlation function requiring no...

    Full text to download in external service

  • Speed sensorless induction motor drive with predictive current controller

    Publication

    Today, speed sensorless modes of operation are becoming standard solutions in the area of electric drives. This paper presents a speed sensorless control system of an induction motor with a predictive current controller. A closed-loop estimation system with robustness against motor parameter variation is used for the control approach. The proposed algorithm has been implemented using field-programmable gate arrays (FPGAs) and a...

    Full text to download in external service

  • Luminescence recognition material as an INHIBIT logic gate in presence of Pb2+ and Cu2+ ions in aqueous solutions

    Publication

    - SENSORS AND ACTUATORS B-CHEMICAL - Year 2013

    A recognition material consisting of silica xerogel with amino-modified surface selectively recognizes Pb2+ and Cu2+ (but only in presence of Pb2+ ions) in aqueous solutions of other metal ions. The analytical action of the material is based on a significant change in luminescence emission spectra of the material after chemisorption of Pb2+ ions. In the presence of Pb2+ in octahedral coordination environment, a new broad and strong...

    Full text to download in external service

  • Multiple output differential OTA with linearizing bulk-driven active-error feedback loop for continuous-time filter applications

    A CMOS circuit realization of a highly linear multiple-output differential operational transconductance amplifier (OTA) has been proposed. The presented approach exploits a differential pair as an input stage with both the gate and the bulk terminals as signal ports. For the proposed OTA, improved linearity is obtained by means of the active-error feedback loop operating at the bulk terminals of the input stage. SPICE simulations...

    Full text to download in external service

  • Hydrodynamic Model of the New Waterway through the Vistula Spit

    The decision to build a new waterway (strait) in the Polish part of the Vistula Spit was made in 2017. The new connection between the Gulf of Gdańsk and the Vistula Lagoon is planned as an artificial navigable channel with a lock and a small port. During storm surges and wind tides in the gulf or in the lagoon, sluicing will be re-quired for vessels to tackle the Vistula Spit. This procedure does not require significant water flow...

    Full text available to download

  • Schemes of transmission of classical information via quantum channels with many senders: Discrete- and continuous-variable cases

    Publication

    Superadditivity effects in the classical capacity of discrete multiaccess channels and continuous variable (CV) Gaussian MACs are analyzed. Several examples of the manifestation of superadditivity in the discrete case are provided, including, in particular, a channel which is fully symmetric with respect to all senders. Furthermore, we consider a class of channels for which input entanglement across more than two copies of the...

    Full text available to download

  • COMPARISON OF SYSTEM ON CHIP TECHNOLOGIES FOR SPACE APPLICATIONS

    The paper presents a review of technologies available for the implementation of digital and mixed signal systems, particularly the system on a chip (SoC) for space applications. The phenomena encountered in the space environment are briefly presented, together with the known solutions, regarding the design of complex electronic systems. The most important norms regarding single die integrated circuits designed for space are also...

  • Acceleration of Electromagnetic Simulations on Reconfigurable FPGA Card

    Publication

    - Year 2023

    In this contribution, the hardware acceleration of electromagnetic simulations on the reconfigurable field-programmable-gate-array (FPGA) card is presented. In the developed implementation of scientific computations, the matrix-assembly phase of the method of moments (MoM) is accelerated on the Xilinx Alveo U200 card. The computational method involves discretization of the frequency-domain mixed potential integral equation using...

    Full text to download in external service

  • Verification and Benchmarking in MPA Coprocessor Design Process

    Publication

    - Year 2022

    This paper presents verification and benchmarking required for the development of a coprocessor digital circuit for integer multiple-precision arithmetic (MPA). Its code is developed, with the use of very high speed integrated circuit hardware description language (VHDL), as an intellectual property core. Therefore, it can be used by a final user within their own computing system based on field-programmable gate arrays (FPGAs)....

    Full text to download in external service